选择特殊符号
选择搜索类型
请输入搜索
《EDA技术与应用-基于QuartusII和VHDL》是2012年北京航空航天大学出版社出版的图书,作者是刘昌华。
EDA技术的发展与应用 电子设计技术的核心就是EDA技术,EDA是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设...
设计一个家居防盗报警器的程序(基于VHDL) ...帮忙设计一个现代交通灯控制系统的程序---(用...家用门窗防盗报警器专利技术.. 家用门窗防盗报警器...
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.al...
基于VHDL的防抖型矩阵式键盘设计
首先介绍了防抖型矩阵式键盘的整体设计思路,然后采用模块化设计方法对各个电路用VHDL进行设计,最后进行顶层文件设计和仿真,并用PLD器件下载验证.整个电路具有故障率低、使用灵活、便于修改、在系统可编程性及可移植性强等优点.
EDA课程设计报告(交通信号控制器的VHDL的设计) (2)
广东海洋大学 《EDA 设计实习》报告 姓 名 张艺 学院(系) 信息学院电子系 专 业 名 称 班 级 电子 1073 学 号 200711611332 课 程 设 计 内 容 交通信号控制器的 VHDL的设计 时 间 2010 年 5月 成绩: 教师签名: 交通信号控制器的 VHDL的设计 一、设计任务 模拟十字路口交通信号灯的工作过程,利用实验板上的两组红、黄、绿 LED作为交通 信号灯,设计一个交通信号灯控制器。能达到的要求: (1) 交通灯从绿变红时,有 4秒黄灯亮的间隔时间; (2) 交通灯红变绿是直接进行的,没有间隔时间; (3) 主干道上的绿灯时间为 40秒,支干道的绿灯时间为 20秒; (4) 在任意时间,显示每个状态到该状态结束所需的时间。 支干道 主干道 图 1 路口交通管理示意图 A B C D 主干道交通灯 绿(40秒) 黄(4秒) 红(20秒) 红(
《EDA技术及应用:VHDL版(第3版)》内容分为五个部分,前四部分为正文,共七章,第五部分为附录。第一部分概括地阐述了EDA技术及应用的有关问题(第1章);第二部分比较全面地介绍了EDA技术的主要内容,包括EDA的物质基础--Lattice、Altera和Xilinx公司主流大规模可编程逻辑器件FPGA/CPL.D的品种规格、性能参数、组成结构及原理(第2章),EDA的主流表达方式--VHDL,的编程基础(第3章),EDA的设计开发软件--QutrtusII8.0、ISESuite10.1、ispl..EVER8.1、Synpli母PRO7.6、ModelSimSE6.0等五个常用EDA工具软件的安装与使用(第4章),EDA的实验开发系统--通用EDA实验开发系统的基本组成、工作原理、性能指标及GW48型EDA实验开发系统的结构及使用方法(第5章);第三部分提供了12个综合性的EDA应用设计实例(第6章),包括数字信号处理、智能控制、神经网络中经常用到的高速PID控制器、FIR滤波器、CORDIC算法的应用等实例;第四部分是EDA技术实验(第7章);第五部分是附录,包括常用FPGA/CPID管脚图、利用WWW进行EDA资源的检索等内容。
《EDA技术及应用:VHDL版(第3版)》可供高等院校电子工程、通信工程、自动化、计算机应用、仪器仪表等信息工程类及相近专业的本科生或研究生使用,也可作为相关人员的自学参考书。
《EDA技术及应用:VHDL版(第3版)》配有电子教案,有需要者可登录出版社网站下载。
书 名: EDA技术及应用:VHDL版
ISBN: 9787560625492
开本: 16开
定价: 45.00元
《EDA技术与应用教程》是全国高职高专教育电子电气类专业规划教材之一。